Publications by Sunghyun Lee

International Journals

  1. Yongjin Ahn, Daehong Kim, Sunghyun Lee, Sanggyu Park, Sungjoo Yoo, Kiyoung Choi, and Soo-Ik Chae, “An efficient simulation environment and simulation techniques for Bluetooth device design,” Design Automation for Embedded Systems, vol. 8, no. 2-3, pp. 119-138, Sep. 2003.

International Conferences

  1. Sunghyun Lee, Sungjoo Yoo, and Kiyoung Choi, “An intra-task dynamic voltage scaling method for SoC design with hierarchical FSM and synchronous dataflow model,” International Symposium on Low Power Electronics and Design, pp. 84-87, Aug. 2002.
  2. Sunghyun Lee, Sungjoo Yoo, and Kiyoung Choi, “Reconfigurable SoC design with hierarchical FSM and synchronous dataflow model,” International Symposium on Hardware/Software Codesign, pp. 199-204, May 2002.
  3. Yongjin Ahn, Daehong Kim, Sunghyun Lee, Sanggyu Park, Sungjoo Yoo, Kiyoung Choi, and Soo-Ik Chae, “An efficient simulation environment for the design of networked Bluetooth devices,” Design, Automation and Test in Europe, Mar. 2002.
  4. Daehong Kim, Jinyong Jung, Sunghyun Lee, Jinhwan Jeon, and Kiyoung Choi, “Behavior-to-placed RTL synthesis with performance-driven placement,” International Conference on Computer-Aided Design, Nov. 2001.
  5. Sunghyun Lee, Kiwook Yun, Kiyoung Choi, Seongsoo Hong, Soo-Mook Moon, and Jeonga Lee, “Java-based programmable networked embedded system architecture with multiple application support,” International Conference on Chip Design Automation, pp. 448-451, Aug. 2000.
  6. Byungil Jeong, Sungjoo Yoo, Sunghyun Lee, and Kiyoung Choi, “Hardware-software cosynthesis for run-time incrementally reconfigurable FPGAs,” Asia and South Pacific Design Automation Conference, pp. 169-174, Jan. 2000.

Domestic Journals

  1. 이성현, 유승주, 최기영, “Hierarchical FSM과 synchronous dataflow model을 이용한 재구성 가능한 SoC의 설계”, 전자공학회논문지, vol. 40, no. 8, pp. 77-88, 2003. 8.

Domestic Conferences

  1. 김선겸, 이성현, 최기영, “컴퓨터구조 기술로부터 간단한 RISC 프로세서의 합성”, CAD 및 VLSI 설계 연구회 학술 발표회 논문집, pp. 125-130, 2003. 5.
  2. 김선겸, 이성현, 최기영, “Synthesis of processor hardware from architecture description”, SoC Design Conference, 2002. 10.
  3. 안용진, 김대홍, 이성현, 박상규, 유승주, 최기영, 채수익, “An efficient simulation environment for the design of networked bluetooth devices”, SoC Design Conference, pp. 622-628, 2001. 11.
  4. 이성현, 유승주, 최기영, “Java 기반의 재구성 가능한 내장형 시스템 architecture 및 개발환경”, 한국반도체 학술대회 논문집, pp. 495-496, 2000. 1.