Publications by Jinyong Jung

International Journals

  1. Jinyong Jung, Sungjoo Yoo, and Kiyoung Choi, “Fast cycle-approximate MPSoC simulation based on synchronization time-point prediction,” Design Automation for Embedded Systems, vol. 11, no. 4, pp. 223-247, Dec. 2007.

International Conferences

  1. Yoonjin Kim, Mary Kiemb, Chulsoo Park, Jinyong Jung, and Kiyoung Choi, “Resource sharing and pipelining in coarse-grained reconfigurable architecture for domain-specific optimization,” Design, Automation and Test in Europe, pp. 12-17, Mar. 2005.
  2. Yoonjin Kim, Chulsoo Park, Shinwon Kang, Hyunjik Song, Jinyong Jung, and Kiyoung Choi, “Design and evaluation of a coarse-grained reconfigurable architecture,” International SoC Design Conference, pp. 227-230, Oct. 2004.
  3. Jongeun Lee, Yoonjin Kim, Jinyong Jung, Shinwon Gang, and Kiyoung Choi, “Reconfigurable ALU array architecture with conditional execution,” International SoC Design Conference, pp. 222-226, Oct. 2004.
  4. Yongjin Ahn, Keesung Han, Youngchul Cho, Junhee Yoo, Jinyong Jung, Ganghee Lee, Kiyoung Choi, Eui-Young Chung, and Kyu-Myung Choi, “An interactive enviornment for SoC design starting from KPN in SystemC,” Global Signal Processing and Expo., Sep. 2004.
  5. Daehong Kim, Jinyong Jung, Sunghyun Lee, Jinhwan Jeon, and Kiyoung Choi, “Behavior-to-placed RTL synthesis with performance-driven placement,” International Conference on Computer-Aided Design, Nov. 2001.
  6. Jinyong Jung, Sungjoo Yoo, and Kiyoung Choi, “Performance improvement of multi-processor systems cosimulation based on SW analysis,” DATE Conference and Exhibition, pp. 749-753, Mar. 2001.
  7. Sungjoo Yoo, Kyoungseok Rha, Youngchul Cho, Jinyong Jung, and Kiyoung Choi, “Performance estimation of multiple-cache IP-based systems: case study of an interdependency problem and application of an extended shared memory model,” International Workshop on Hardware/Software Codesign, pp. 77-81, May 2000.
  8. Sungjoo Yoo, Jongeun Lee, Jinyong Jung, Kyoungseok Rha, Youngchul Cho, and Kiyoung Choi, “Fast hardware-software coverification by optimistic execution of real processor,” DATE Conference and Exhibition, pp. 663-668, Mar. 2000.
  9. Sungjoo Yoo, Jongeun Lee, Kyoungseok Rha, Jinyong Jung, Youngchul Cho, and Kiyoung Choi, “Fast prototyping of an IS-95 CDMA cellular phone : a case study,” Asia Pacific Conference on Hardware Description Languages, pp. 61-66, Oct. 1999.

Domestic Conferences

  1. 김윤진, 정진용, 강신원, 최기영, “재구성형 프로세싱 모듈의 설계”, 대한전자공학회 학술회의, pp. 312-317, 2004. 5.
  2. 안용진, 한기성, 조영철, 유준희, 정진용, 이강희, 최기영, 정의영, 최규명, “SoC 설계 공간 탐색을 위한 환경 개발”, 대한전자공학회 학술회의, 2004. 5.
  3. 정진용, 유승주, 최기영, “Performance improvement of multi-processor systems cosimulation based on SW”, CAD 및 VLSI 설계 연구회 학술 발표회 논문집, pp. 159-163, 2001. 5.
  4. 이종은, 조영철, 나경석, 임성택, 정진용, 박수언, 최기영, “내장형 시스템을 위한 통합검증 환경”, CAD 및 VLSI 설계 연구회 학술 발표회 논문집, pp. 194-198, 2001. 5.

Domestic Patents

  1. 최기영, 정진용, 이종은, 김윤진, 강신원, “조건실행을 지원하는 재구성 가능한 프로세싱 요소의 배열구조”, 한국, 재단법인서울대학교산학협력재단, 2005-01-31, 2005–0008689, 2007-05-22, 10-0722770.
  2. 최기영, 정진용, 마영란, 김윤진, 박철수, “리소스 공유 및 파이프라이닝 구성을 갖는 재구성가능 배열구조”, 한국, 재단법인서울대학교산학협력재단, 2005-02-07, 2005-0011451, 2007-05-21, 10-0722428.
  3. 김현철, 최기영, 유승주, 이종은, 정진용, 나경석, 조영철, “실제 프로세서를 이용한 낙관적 실행에 의한 하드웨어-소프트웨어 통합 검증방법”, 한국, 한국 MDS (주), 2000-01-18, 2000-002175, 2003-01-06, 368546.