Publications by Youngsoo Shin

International Journals

  1. Youngsoo Shin, Kiyoung Choi, and Takayasu Sakurai, “Power-conscious scheduling for real-time embedded systems design,” VLSI Design, vol. 12, no. 2, Dec. 2001.
  2. Youngsoo Shin, Kiyoung Choi, and Young-Hoon Chang, “Narrow bus encoding for low-power DSP systems,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 9, no. 5, Oct. 2001.
  3. Youngsoo Shin, Kiyoung Choi, and Soo-Ik Chae, “Partial bus-invert coding for power optimization of application-specific system,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 9, no. 2, Apr. 2001.
  4. Yongjoo Kim, Kyuseok Kim, Youngsoo Shin, Taekyoon Ahn, and Kiyoung Choi, “An integrated cosimulation environment for heterogeneous systems prototyping,” Design Automation for Embedded Systems, vol. 3, no. 2-3, May 1998.
  5. Youngsoo Shin, Soo-Ik Chae, and Kiyoung Choi, “Reduction of bus transitions with partial bus-invert coding,” Electronics Letters, vol. 34, no. 7, pp. 642-643, 1998.

International Conferences

  1. Youngsoo Shin, Kiyoung Choi, and Takayasu Sakurai, “Power optimization of real-time embedded systems on variable speed processors,” International Conference on Computer-Aided Design, pp. 365-368, Nov. 2000.
  2. Youngsoo Shin, Daehong Kim, and Kiyoung Choi, “Schedulability-driven performance analysis of multiple mode embedded real-time systems,” Design Automation Conference, pp. 495-500, Jun. 2000.
  3. Youngsoo Shin and Kiyoung Choi, “Narrow bus encoding for low power systems,” Asia and South Pacific Design Automation Conference, pp. 217-220, Jan. 2000.
  4. Youngsoo Shin and Kiyoung Choi, “Power conscious fixed priority scheduling for hard real-time systems,” Design Automation Conference, pp. 134-139, Jun. 1999.
  5. Youngsoo Shin, Soo-Ik Chae, and Kiyoung Choi, “Partial bus-invert coding for power optimization of system level bus,” International Symposium on Low Power Electronics and Design, pp. 217-129, Aug. 1998.
  6. Youngsoo Shin and Kiyoung Choi, “Rate assignment for embedded reactive real-time systems,” Euromicro Workshop on Digital Systems Design, pp. 237-242, Aug. 1998.
  7. Youngsoo Shin and Kiyoung Choi, “Enhancing schedulability of hard real-time systems through codesign,” IEEE International Symposium on Circuits and Systems, pp. 1576-1579, May 1997.
  8. Youngsoo Shin and Kiyoung Choi, “Enforcing schedulability of multi-task systems by hardware-software codesign,” International Workshop on Hardware/Software Codesign, Mar. 1997.
  9. Kyuseok Kim, Yongjoo Kim, Youngsoo Shin, and Kiyoung Choi, “An integrated hardware-software cosimulation environment with automated interface generation,” IEEE International Workshop on Rapid System Prototyping, pp. 66-71, 1996.
  10. Youngsoo Shin and Kiyoung Choi, “Software synthesis through task decomposition by dependency analysis,” IEEE International Conference on Universal Personal Communications, pp. 98-102, 1996.
  11. Youngsoo Shin and Kiyoung Choi, “Thread-Based software synthesis for embedded system design,” European Design and Test Conference, pp. 282-286, 1996.
  12. Yongjoo Kim, Kyuseok Kim, Youngsoo Shin, Taekyoon Ahn, Wonyong Sung, Kiyoung Choi, and Soonhoi Ha, “An integrated hardware-software cosimulation environment for heterogeneous systems prototyping,” Asia and South Pacific Design Automation Conference, pp. 101-106, Oct. 1995.
  13. Yongjoo Kim, Youngsoo Shin, Kyuseok Kim, Jae-Hee Won, and Kiyoung Choi, “Efficient prototyping system based on incremental design and module-by-module verification,” IEEE International Symposium on Circuits and Systems, pp. 924-927, May 1995.

International Books

  1. Youngsoo Shin, Chi Ying Tsui, Jae-Joon Kim, Kiyoung Choi, and Ricardo Reis, eds., “VLSI-SoC: Design for Reliability, Security, and Low Power,” Springer, Aug. 2016.

International Patents

  1. Youngsoo Shin, Kiyoung Choi, Byongho Min, and Younghoon Chang, “Bus encoding/decoding apparatus and method,” UNITED STATES, Samsung Electronics Co., Ltd., Kiyoung Choi, 2000-12-28, 09/749,812, 2002-12-03, US6489900.

Domestic Journals

  1. 신영수, 채수익, 최기영, “부분 버스 반전 부호화를 이용한 시스템 수준 전력 최적화”, 전자공학회논문지, vol. 31-C, no. 12, pp. 23-30, 1998.

Domestic Conferences

  1. 신영수, 최기영, “이질적 시스템 설계를 위한 소프트웨어 합성”, CAD 및 VLSI 설계 연구회 학술 발표회 논문집, pp. 70-76, 1996. 1.
  2. 신영수, 최기영, “내장형 시스템 설계 환경에서의 스레드에 기초한 소프트웨어 합성”, 대한전자공학회 학술회의, pp. 1085-1088, 1995. 1.
  3. 김용주, 신영수, 김규석, 원재희, 최기영, “An efficient computer-aided prototyping system based on FPGAs”, 추계종합학술대회 논문집, pp. 1382-1385, 1994.

Domestic Patents

  1. 최기영, 신영수, 민병호, 장영훈, “버스 인코딩/디코딩 장치 및 그 방법”, 한국, 삼성전자주식회사, 최기영, 1999-12-30, 10-1999-0066030, 2004-05-31, 10-0435215-0000.